Fast STA Prediction-based Gate-level Timing Simulation

Size: px
Start display at page:

Download "Fast STA Prediction-based Gate-level Timing Simulation"

Transcription

1 Fast STA Preiction-base Gate-level Tariq B Ahma ECE Department University of Massachusetts Amherst, MA, USA tbashir@ecsumasseu Maciej J Ciesielski ECE Department University of Massachusetts Amherst, MA, USA ciesiel@ecsumasseu Abstract Traitional ynamic simulation with stanar elay format (SDF) back-annotation cannot be reliably performe on large esigns The large size of SDF files makes the event-riven ulation extremely slow as it has process an excessive number of events In orer accelerate gate-level timing simulation we propose an aumate fast preiction-base gatelevel ulation that combines static timing analysis (STA) at the block level with ynamic ulation at the I/O interfaces We emonstrate that the propose timing simulation can be one earlier in the esign cycle in parallel with synthesis Inex Terms Gate-level timing, static timing analysis, ynamic ulation, ASIC, Opencores,, Verilog I INTRODUCTION A Literature Survey on Verification As esign size an complexity increase, so is the nee verify esigns quickly an reliably This, combine with the reuce esign cycle of 3-6 months, makes verification an extremely challenging task Toay, verification consumes over 70% of the esign cycle time an, on an average, the ratio of verification esign engineers is 3:1 [1][2] Verification engineers use a wie variety of verification approaches, incluing constraine ranom simulation for atapath components, equivalence checking for pre- an postsynthesis netlists, an formal property verification for control an procol checking As the esign gets refine in lower levels of abstraction, such as gate or layout level, in an application specific integrate circuit (ASIC) or fiel programmable gate array (FPGA) esign flow, the performance of simulation rops significantly This is ue the large size of gate-level an layout-level netlists, an gate an wire elays available only at these lower levels of abstraction Formal property verification still cannot cope with the esign complexity beyon register transfer level () of abstraction Equivalence checking can only compare functionality (but not the timing) of two esigns; it suffers from memory capacity limitations for large esigns an may require efining structurally similar cut points as a basis of comparison Other techniques, such as static timing analysis (STA), are prone manually impose constraints A esigner may inavertently miss false or multi-cycle paths or a such paths that shoul not have been constraine [3] Furthermore, STA oes not work for asynchronous interfaces [15] an there is no way valiate the results of STA, except by simulation To accelerate simulation at lower levels of abstraction, harware assiste simulation acceleration (base on FPGAs), emulation (such as Caence Pallaium platform or EVE/Synopsys Zebu platforms), an other techniques have been introuce These techniques are expensive, quite complex eal with, an may require reesigning testbench or the esign uner verification (DUV) [4] Despite this, traitional harware escription language (HDL) simulation remains the most popular metho of esign verification, because of its ease of use, inexpensive computing platform, 100% signal controllability an observability [5] Figure 1 illustrates the use of simulation in a typical ASIC esign flow Level of abstraction Start Algorithm Development in C/C++ Translation in Harware Description language HDL Functional Harware in logic gates Post Functional & Layout Post Layout Functional & Figure 1 in ASIC/FPGA Design Flow It is clear from the above escription that simulation has its own special place in the esign flow an it is not going away in the foreseeable future As the esign gets refine in lower levels of abstraction, such as gate-level an layout level, functional (zero-elay) an ulations can valiate the results of synthesis, STA or equivalence checking Moreover, neither STA nor equivalence checking can fin bugs ue X (unknown) signal propagation Even though regression is run on a aily basis, inustry insists on gate-level simulation before sign-off Gate-level simulation is necessary valiate the results of an logic synthesis At this stage, gate-level simulation En /DATE14/ 2014 EDAA

2 can be functional (zero-elay) or unit-elay, where all gatelevel cells are assume have elay value of 1 timescale unit Later, gate-level ulation can be performe in the prelayout or post-layout stage using stanar elay format (SDF) back-annotation Gate-level simulations are consiere a must for verifying timing critical paths of asynchronous esign as such paths cannot be hanle by STA ols Furthermore, gatelevel simulation is use verify the constraints of static verification ols such as STA an equivalence checking These constraints are ae manually, an the quality of results obtaine with static ols is only as goo as the impose constraints Gate-level simulation is also use verify the power-up, power-own an reset sequences of the full chip It is also use estimate ynamic power rawn by the chip Finally, gate-level simulation is use after engineering change orer (ECO) verify the applie changes [15] B Issues with The ominant technique use for functional an timing simulation is event-riven HDL simulation [5] However, event-riven simulation suffers from very low performance because of its inherently sequential nature an heavy event activities in gate-level simulation As the esign gets refine in lower levels of abstraction, an as more ebugging features are ae in the esign, simulation time increases significantly Figure 2 shows the simulation performance of Opencores [14] AES128 esign [23] at various levels of abstraction with ebugging features enable As the level of abstraction goes own gate or layout level an ebugging features are enable, simulation performance rops own significantly This is ue a large number of events at the gate-level or layout level, timing checks an isk access ump simulation ata time (min) Level of abstraction + ebugging effect on simulation performance of AES Figure 2 Drop own in simulation performance with level of abstraction + ebugging C Scope of this Work gl_0 gl_timing +assertion +ump Level of abstraction + ebugging This work aresses the issue of improving performance of event-riven gate-level ulation using static timing analysis (STA) as timing preicr at the block level We propose an aumate partitioning scheme that partitions the gate-level netlist in blocks for SDF annotation an STA We also propose a new esign/verification flow where timing simulation can be one early in the esign cycle using cycleaccurate The next section briefly reviews literature on improving simulation performance using parallel simulation Section 3 presents a new approach accelerating gate-level timing simulation using STA Section 4 escribes the setup, experiments an results base on the new approach Section 5 escribes how verify simulation results using the propose flow New simulation flow base on early simulation is iscusse in Section 6 The paper is conclue in Section 7 an References are liste in Section 8 Our contributions in this work span Sections 3 through 7 II PARALLEL GATE-LEVEL ULATION A Parallel Discrete Event-Driven (PDES) To aress the performance of event-riven gate-level simulation (both functional an timing), istribute parallel simulation [6][7] has been propose Unfortunately, it has not been very successful for the following reasons: i) ifficulty in esign partitioning an loa balancing; ii) communication overhea; iii) synchronization overhea between esign blocks impose by the istribute environment; an iv) lack of concurrency in the original esign The area of parallel simulation is rich in literature, an most of the known work concerns traitional parallel simulation, base on physical partitioning of the esign in moules istribute iniviual simulars PDES is not practical for gate-level timing simulation as gate-level ulation involves processing huge number of events across partitions which severely egraes simulation performance For this reason, recent parallel multi-core simulars provie by major EDA venors [20][21] o not hanle gate-level ulation in their multi-core simulars B Time Parallel (TP) In contrast the parallel iscrete event HDL simulation escribe above, which partitions the esign in spatial omain, there has been some interesting work on time-parallel iscrete event HDL simulation [17] This approach, calle multi-level temporal parallel event-riven simulation (MULTES) [18], parallelizes simulation in time omain by iviing it in inepenent time intervals (simulation slices) Each slice is then simulate on a ifferent processor The key requirement of this technique is fining the initial state of each slice, which is a challenging problem, especially for a esign obtaine by retiming an re-synthesis [18] For functional gate-level simulation, is use fin the initial state of each slice an for gate-level ulation, functional gate-level is use fin the initial state of each time slice Limitations this inclue space complexity (each simulation slice simulates the whole esign) an limite applicability multi-core architecture Multi-core architecture is more suitable esign partitions rather than running entire esign on every core In general, the metho oes not scale well with the multi-core

3 architecture, cannot be fully aumate an requires manual interaction However, if the esigner requires gate-level timing simulation with full SDF back-annotation, TP can be use This will nee manual interaction an state matching A Basic Iea III HYBRID GATE-LEVEL TIMING ULATION We present a new approach improve performance of gate-level ulation The basic iea is use static timing analysis (STA) as timing preicr at the block level It uses worst case (critical path) elay, capture by STA, instea of the actual cell elays for annotating block-level timing uring simulation This iea is illustrate in Figures 3 an 4 Figure 3 shows gate-level ulation of a esign consisting of two blocks, with ulation accomplishe with SDF back-annotation applie the entire esign However, for large esigns, such SDF back-annotation will negatively impact the performance of gate-level timing simulation Test bench in1 in2 Figure 3 Gate-level ulation with full SDF annotation To improve the performance of gate-level timing simulation, we propose a hybri approach, shown in Figure 4, where only gate-level block 2 is SDF back-annotate Gatelevel block 1 is analyze by STA ol which reports the maximum elay insie the block Only this value is backannotate uring simulation as sta at the output of block1 This type of timing annotation is terme as selective SDF annotation Note that STA can be performe on gate-level block 1 as part of the whole esign or separately if I/O elays are moele appropriately Essentially, block 1 is simulate in functional (zeroelay) moe ie, without SDF back- annotation, while block 2 is simulate with SDF back-annotation In case of multiple blocks, the propose STA base timing preiction approach can be use for majority of the blocks spee up gate-level ulation Designers typically know the timing critical blocks in a esign where selective SDF back-annotation can be use quickly verify timing B Partitioning Block 1 Gate-Level with SDF a b comb1 comb2 c out1 Block 2 Gate-Level with SDF Partitioning of gate-level netlist in blocks for SDF annotation an STA is a challenging problem as verification engineer may not have sufficient insight in ientifying timingcritical blocks Furthermore, partitioning schemes are often manually riven This may cause a problem when ealing with large gate-level netlists Often gate-level netlist is flattene an hierarchy is not preserve We propose a partitioning in3 comb3 f g e h out2 scheme base on STA that is fully aumate an works for flat or hierarchical gate-level netlist This is one of the most important contributions of this paper Moreover, the partitioning oes not have be at the register bounary For multi-clock esigns, clock omain crossings (CDC) are always SDF back-annotate Formal ols like Synopsys Formality can etect CDC paths in a esign Test bench Block 1 Gate-Level with 0-elay comb comb in3 sta Block 2 Gate-Level with SDF comb3 Figure 4Gate-level ulation with hybri approach STA etermines slowest (critical path) in a esign One can also choose report not only the most timing critical path but the next most timing critical path an so on STA report then reports these timing critical paths an the associate moule instances Since these paths are time critical, one woul always want o SDF back-annotate ulation on these moule instances make sure that their timing conforms STA results In brief, one can inclue all the moule instances that are in the timing critical paths for SDF back-annotation This group of instances is shown as Block2 in Figure 4 All the other moule instances can be consiere not timing critical These moule instances shall be simulate in functional (zero-elay) moe This group of instances are in Block1 However, one nees run STA on Block1 fin out their worst case elay sta as shown in Figure 4 All of this can be aumate in a flow as shown in Figure 5 Block2 Gate-level netlist List of moule instances in the critical paths STA 1 or more critical paths Constraint file (tfile) STA at Block s 1 bounary List of moule instances NOT in the critical paths Figure 5 Aumate Partitioning an simulation flow for hybri gate-level ulation STA sta g Block1 h out2

4 C Integration with the existing Design Flow The flow for this approach is shown in Figure 6 The key iea is capture peripheral timing of each block via static timing analysis or various estimates erive from time bugeting As some (non-critical) of the esign blocks are simulate in functional (zero-elay) moe, except at the block periphery, this shoul result in a significant speeup compare the simulation with full SDF back-annotation To further improve the performance of gate-level timing simulation, the majority of gate-level blocks can be replace by their cycle-accurate blocks with peripheral timing capture via STA, time bugeting or other estimates be explaine next STA + SDF gen (SDF contains gateelays) Place & Route STA + SDF gen (SDF contains gate+ wire elays) Figure 6 Propose flow for hybri gate-level ulation D Early Gate-level Traitional annotate gatelevel sim (Slow) This Work Selective SDF annotate +STA gate-level sim (Fast) The iea of early simulation is shown in Figure 7, where gate-level Block1 is replace by equivalent Now is simulate instea of gate-level for Block1 The key iea is perform ulation using estimate timing est early in the esign cycle when the blocks have not been synthesize The estimate timing can come from time bugeting or a ol like Synopsys DC Explorer [22] This is in contrast the conventional approach, where gate-level simulation is performe later in the esign flow, after synthesis or place & route step, with all the etaile elay ata alreay available Major simular venors have alreay embrace the iea of early ulation base on the estimate elays realizing that performing gate-level ulations late in the esign cycle is prohibitively slow Verification engineers get aroun this problem by performing gate-level timing simulation of only time critical blocks with few test vecrs However, they are not able perform full chip timing simulation with large number of test vecrs, which often leaves certain timing bugs unetecte Synopsys [21] has recently announce a new prouct calle DC Explorer [22] that is base on the same iea of early esign exploration It can o early synthesis, timing an other estimates with sufficient accuracy for esigns start the simulation process early in the esign flow For this reason, Synopsys DC Explorer is rapily getting aoption in the inustry Test bench Figure 7 Early ulation using with estimate of peripheral timing A Setup IV EXPERIMENTS We teste the propose approach by measuring the performance of gate-level ulation of several Opencores Verilog esigns [14], namely AES-128 [23], 3-DES [24], VGA controller [25] an JPEG encoer [26] esigns We use Caence [20] Incisive Unifie Simular 131 on quacore Intel CPU with 8GB RAM The esigns were synthesize with Synopsys Design Compiler using TSMC 65nm stanar cell library All these esigns except VGA controller are single clock esigns The following Table 1 shows essential statistics for these esigns B Results Block 1 Assign c = A & B; (posegeclk) State < = next_state; estimate at Block s 1 bounary Table 1 Gate-level esign statistics Block 2 Gate-Level in SDF First, we show simulation results with the AES-128 esign We starte with SDF annotation of majority of blocks ( accommoate many timing critical paths) an then graually ecrease the number of blocks in SDF annotation one ( accommoate the worst case timing path) Table 2 shows that significant speeup (~5x) over full SDF annotate timing simulation can be obtaine The waveforms in Figure 8 illustrate the ifference between full SDF annotation an selective SDF annotation It shows that signal from selective SDF annotation is elaye more than the SDF-annotate signal ue STA elay, but contains no glitches This means fewer events process uring simulation an hence faster simulation Both signals match at the clock cycle bounary (positive ege of the clock) In the next set of experiments, all esigns were ivie in two gate-level blocks, Block1 an Block2 as in Figure 4 Block 2 contains moule instances from the most timing est Implementations AES-128 Iterative in3 g Synthesize Area in NAND2 equivalents DES VGA JPEG comb3 h out2

5 critical path Here, the number of timing critical paths consiere is one The propose approach has an aitional avantage that it valiates the result of STA which is epens on manual constraints entry If the simulation exhibits timing failure, it will help ebug STA constraints Once the constraints are correcte, STA is run again provie new # sta value This STA--simulation cycle is repeate until all timing failures are ebugge an remove from the simulation Table 2 Gate-level ulation speeup of AES-128 for variable number of blocks in SDF annotation #of moules instances in SDF Annotation /17 Moule Instances in 0-elay 16 testu0us00 (one Sbox) 16 testu0u0 (key_expan) 15 testu0us00 testu0us01 (two Sboxes) 13 testu0us00 testu0us03 (Four sboxes) 9 testu0us00 testu0us13 (8 sboxes) 7 testu0us00 testu0us23 (12 sboxes) 1 testu0us00 testu0us33 (16 sboxes) annotate (T1) Min Selective SDF annotate sim (T2) Min Speeup (T1/T2) the propose simulation approach In practice, verification engineer can skip this step reuce the verification time While testbench can verify functional correctness of the two simulations, the propose verification scheme helps in verifying timing correctness of the two simulations In orer for both simulations be timing correct, the monire signals from the two simulations shoul match at the clock cycle bounary Unfortunately, umping, as shown in Figure 2 can rastically reuce simulation performance Further, the amount of umping can cause the isk quickly become full Therefore, it is recommene that umping shoul be one for a small time interval rather than for the entire simulation We use small simulation intervals verify timing correctness of the output signals of the esigns Caence Comparescan ol was use compare the umpe signals The ol reporte the signals be matching at the clock cycle bounary Table 3 shows comparison between full SDF gate-level timing simulation an propose hybri gate-level ulation for all the flip-flops/registers in VGA an AES-128 esigns The fact that the values of the registers match at the clock cycle bounary uring the entire simulation confirms the accuracy of our approach Hybri gate-level with umping annotate gate-level with umping Sim Dump Data Sim Dump Data Compare signals at clock cycle bounary T/F Figure 9 Verification flow for hybri gate-level ulation Clock Full sf annotate signal Selective sf annotate signal Figure 8 annotation vs selective SDF annotation in waveform Table 3 Speeup with hybri gate-level ulation Implementations annotate T1 (min) Hybri timing simulation T2 (min) Speeup (T1/T2) AES DES VGA Controller JPEG Controller V VERIFICATION OF ULATION RESULTS In orer verify the timing correctness of the approach, we propose the following umping-base flow, shown in Figure 9 Note that this is an optional step, use only verify Table 4 Accuracy of hybri gate-level ulation at the register bounary Design name A: Total # of registers B: # of timing vs selective SDF timing register match Lower boun on hybri preiction accuracy (B/A)*100 VGA % controller AES % VI NEW GATE-LEVEL TIMING ULATION FLOW We also propose the esign/verification flow in which gate-level ulation is performe early in the esign cycle, using estimates from time bugeting an/or STA Tools like Synopsys DC Explorer [22] can provie timing estimates for running gate-level ulation As alreay mentione performing gate-level ulation late in the esign cycle is prohibitively slow an may result in esign changes back in the or may require ECO Further, the iea of performing long full chip ulation in a short amount of time is much welcome by the inustry Figures 10 an 11 show the traitional an new flow for simulation,

6 respectively The obvious avantage of the new flow is rapi gate-level ulation early in the esign cycle so that timing checks are valiate an bugs are caught early on with peripheral timing Figure 10 Traitional simulation flow in ASIC esign 0-elay & timing 0-elay Hybri Our work Figure 11 Propose flow of early simulation in ASIC esign VII CONCLUSION AND FUTURE WORK Toay, system-on-chip (SoC) esigns have become wiesprea These esigns integrate multiple harware cores working at ifferent frequencies simulation of such multi-clock omain esigns is critical Traitional ynamic simulation with SDF back-annotation cannot be one on such large esigns In aition, event-riven ulation is extremely slow, suffers from capacity issues because of large SDF files (exceeing 10GB for small SoC esigns) an is generally one late in the ASIC esign cycle after synthesis or layout This paper provies a proof of concept of hybri gate-level ulation that makes use of STA an selective SDF back-annotation accelerate gate-level ulation STA acts as timing preicr for blocks which are run without SDF back-annotation The approach also valiates the result of STA which epens on manual constraints entry The propose approach is applicable multi-clock omain esigns with clock omain crossings (CDC) We are actively working on such larger esigns Further, we propose a flow for early simulation in the ASIC/FPGA esign flow that inclues rapi hybri gate-level ulation ACKNOWLEDGMENT This work was supporte in part by funing from the National Science Founation, awar No CCF REFERENCES Layout Layout 0-elay & timing 0-elay Hybri Our work [1] T Anerson, an R Bhagat, Tackling Functional Verification for Virtual Components, ISD Magazine, pp 26, November 2000 [2] P Rashinkar, an L Singh, New SoC Verification Techniques, Abstract for turial, IP/SOC 2001 Conference, March 19, 2001 [3] Symbolic spees Closure ( gates/symbolic-simulation-spees-timing-closure) [4] D Kim, M Ciesielski, an S Yang, A new Distribute Eventriven Gate-level HDL by Accurate Preiction, Design an Test Europe (DATE 2011), pp , March 2011 [5] WK Lam, Harware Design Verification: an Formal Metho-Base Approaches, Prentice Hall, 2005 [6] SimCluster atasheet, Avery Design Aumation ( [7] MP-Sim atasheet, Axiom Design Aumation ( [8] RM Fujimo, Parallel Discrete Event, Communication of the ACM, Vol 33, No 10, pp 30-53, Oct 1990 [9] A Gafni Rollback Mechanisms for Optimistic Distribute Systems, SCS Multiconference on Distribute, vol3, pp 61-67, July 1988 [10] RM Fujimo, Time Warp on a Share Memory Multiprocessor, Transactions of the Society for Computer, Vol, 6, No 3, pp , July 1989 [11] DM Nicol, Principles of Conservative Parallel, Proceeings of the 28th Winter Conference, pp , 1996 [12] D Chatterjee, A DeOrio, an V Bertacco, Event- riven Gatelevel with General Purpose GPUs, Proceeings of Design Aumation Conference (DAC09), pp , 2009 [13] Y Zhu, B Wang, an Y Deng, Massively Parallel Logic with GPUs, article 29, ACM Trans Design Aumation of Electronic Systems, June 2011 [14] Opencores esigns (wwwopencoresorg) [15] VerificationBlog ( [16] L Li, an C Tropper, A esign-riven Partitioning Algorithm for Distribute Verilog, in Proc 20th International Workshop on Principles of Avance an Distribute (PADS), pp , 2007 [17] D Kim, M Ciesielski, an S Yang, "MULTES: MUlti-Level Temporal-parallel Event-riven," IEEE Trans on CAD of Integrate Circuits an Systems 32(6): pp (2013) [18] DKim, MULTES : Multi-level Temporal-parallel Event-riven, PhD Thesis, University of Massachusetts Amherst, 2011 [19] F Roriguez-Henriques, N Saqib, A Perez, an C Koc, Crypgraphic Algorithms on Reconfigurable Harware, Springer, 2006 [20] Caence ( [21] Synopsys ( [22] Synopsys DC Explorer ( explorer/pages/efaultaspx) [23] AES-128 Opencores esign ( [24] DES-3 Opencores esign ( [25] VGA Controller Opencores esign ( [26] JPEG Encoer Opencores esign (

SPECIAL ARTICLES Pharmacy Education in Vietnam

SPECIAL ARTICLES Pharmacy Education in Vietnam American Journal of Pharmaceutical Eucation 2013; 77 (6) Article 114. SPECIAL ARTICLES Pharmacy Eucation in Vietnam Thi-Ha Vo, MSc, a,b Pierrick Beouch, PharmD, PhD, b,c Thi-Hoai Nguyen, PhD, a Thi-Lien-Huong

More information

Designing a Computer to Play Nim: A Mini-Capstone Project in Digital Design I

Designing a Computer to Play Nim: A Mini-Capstone Project in Digital Design I Session 1793 Designing a Computer to Play Nim: A Mini-Capstone Project in Digital Design I John Greco, Ph.D. Department of Electrical and Computer Engineering Lafayette College Easton, PA 18042 Abstract

More information

SANTIAGO CANYON COLLEGE Reading & English Placement Testing Information

SANTIAGO CANYON COLLEGE Reading & English Placement Testing Information SANTIAGO CANYON COLLEGE Reaing & English Placement Testing Information DO YOUR BEST on the Reaing & English Placement Test The Reaing & English placement test is esigne to assess stuents skills in reaing

More information

Sweden, The Baltic States and Poland November 2000

Sweden, The Baltic States and Poland November 2000 Folkbilning co-operation between Sween, The Baltic States an Polan 1990 2000 November 2000 TABLE OF CONTENTS FOREWORD...3 SUMMARY...4 I. CONCLUSIONS FROM THE COUNTRIES...6 I.1 Estonia...8 I.2 Latvia...12

More information

ENEE 302h: Digital Electronics, Fall 2005 Prof. Bruce Jacob

ENEE 302h: Digital Electronics, Fall 2005 Prof. Bruce Jacob Course Syllabus ENEE 302h: Digital Electronics, Fall 2005 Prof. Bruce Jacob 1. Basic Information Time & Place Lecture: TuTh 2:00 3:15 pm, CSIC-3118 Discussion Section: Mon 12:00 12:50pm, EGR-1104 Professor

More information

FUZZY EXPERT. Dr. Kasim M. Al-Aubidy. Philadelphia University. Computer Eng. Dept February 2002 University of Damascus-Syria

FUZZY EXPERT. Dr. Kasim M. Al-Aubidy. Philadelphia University. Computer Eng. Dept February 2002 University of Damascus-Syria FUZZY EXPERT SYSTEMS 16-18 18 February 2002 University of Damascus-Syria Dr. Kasim M. Al-Aubidy Computer Eng. Dept. Philadelphia University What is Expert Systems? ES are computer programs that emulate

More information

Designing a Rubric to Assess the Modelling Phase of Student Design Projects in Upper Year Engineering Courses

Designing a Rubric to Assess the Modelling Phase of Student Design Projects in Upper Year Engineering Courses Designing a Rubric to Assess the Modelling Phase of Student Design Projects in Upper Year Engineering Courses Thomas F.C. Woodhall Masters Candidate in Civil Engineering Queen s University at Kingston,

More information

THE DEPARTMENT OF DEFENSE HIGH LEVEL ARCHITECTURE. Richard M. Fujimoto

THE DEPARTMENT OF DEFENSE HIGH LEVEL ARCHITECTURE. Richard M. Fujimoto THE DEPARTMENT OF DEFENSE HIGH LEVEL ARCHITECTURE Judith S. Dahmann Defense Modeling and Simulation Office 1901 North Beauregard Street Alexandria, VA 22311, U.S.A. Richard M. Fujimoto College of Computing

More information

A Pipelined Approach for Iterative Software Process Model

A Pipelined Approach for Iterative Software Process Model A Pipelined Approach for Iterative Software Process Model Ms.Prasanthi E R, Ms.Aparna Rathi, Ms.Vardhani J P, Mr.Vivek Krishna Electronics and Radar Development Establishment C V Raman Nagar, Bangalore-560093,

More information

Infrared Paper Dryer Control Scheme

Infrared Paper Dryer Control Scheme Infrared Paper Dryer Control Scheme INITIAL PROJECT SUMMARY 10/03/2005 DISTRIBUTED MEGAWATTS Carl Lee Blake Peck Rob Schaerer Jay Hudkins 1. Project Overview 1.1 Stake Holders Potlatch Corporation, Idaho

More information

Circuit Simulators: A Revolutionary E-Learning Platform

Circuit Simulators: A Revolutionary E-Learning Platform Circuit Simulators: A Revolutionary E-Learning Platform Mahi Itagi Padre Conceicao College of Engineering, Verna, Goa, India. itagimahi@gmail.com Akhil Deshpande Gogte Institute of Technology, Udyambag,

More information

Software Maintenance

Software Maintenance 1 What is Software Maintenance? Software Maintenance is a very broad activity that includes error corrections, enhancements of capabilities, deletion of obsolete capabilities, and optimization. 2 Categories

More information

PH.D. IN COMPUTER SCIENCE PROGRAM (POST M.S.)

PH.D. IN COMPUTER SCIENCE PROGRAM (POST M.S.) PH.D. IN COMPUTER SCIENCE PROGRAM (POST M.S.) OVERVIEW ADMISSION REQUIREMENTS PROGRAM REQUIREMENTS OVERVIEW FOR THE PH.D. IN COMPUTER SCIENCE Overview The doctoral program is designed for those students

More information

Device Design And Process Window Analysis Of A Deep- Submicron Cmos Vlsi Technology (The Six Sigma Research Institute Series) By Philip E.

Device Design And Process Window Analysis Of A Deep- Submicron Cmos Vlsi Technology (The Six Sigma Research Institute Series) By Philip E. Device Design And Process Window Analysis Of A Deep- Submicron Cmos Vlsi Technology (The Six Sigma Research Institute Series) By Philip E. Madrid If you are searching for a ebook Device Design and Process

More information

An Introduction to Simio for Beginners

An Introduction to Simio for Beginners An Introduction to Simio for Beginners C. Dennis Pegden, Ph.D. This white paper is intended to introduce Simio to a user new to simulation. It is intended for the manufacturing engineer, hospital quality

More information

Computer Organization I (Tietokoneen toiminta)

Computer Organization I (Tietokoneen toiminta) 581305-6 Computer Organization I (Tietokoneen toiminta) Teemu Kerola University of Helsinki Department of Computer Science Spring 2010 1 Computer Organization I Course area and goals Course learning methods

More information

Visual CP Representation of Knowledge

Visual CP Representation of Knowledge Visual CP Representation of Knowledge Heather D. Pfeiffer and Roger T. Hartley Department of Computer Science New Mexico State University Las Cruces, NM 88003-8001, USA email: hdp@cs.nmsu.edu and rth@cs.nmsu.edu

More information

Computer Science. Embedded systems today. Microcontroller MCR

Computer Science. Embedded systems today. Microcontroller MCR Computer Science Microcontroller Embedded systems today Prof. Dr. Siepmann Fachhochschule Aachen - Aachen University of Applied Sciences 24. März 2009-2 Minuteman missile 1962 Prof. Dr. Siepmann Fachhochschule

More information

Introduction to Simulation

Introduction to Simulation Introduction to Simulation Spring 2010 Dr. Louis Luangkesorn University of Pittsburgh January 19, 2010 Dr. Louis Luangkesorn ( University of Pittsburgh ) Introduction to Simulation January 19, 2010 1 /

More information

A Coding System for Dynamic Topic Analysis: A Computer-Mediated Discourse Analysis Technique

A Coding System for Dynamic Topic Analysis: A Computer-Mediated Discourse Analysis Technique A Coding System for Dynamic Topic Analysis: A Computer-Mediated Discourse Analysis Technique Hiromi Ishizaki 1, Susan C. Herring 2, Yasuhiro Takishima 1 1 KDDI R&D Laboratories, Inc. 2 Indiana University

More information

On the Combined Behavior of Autonomous Resource Management Agents

On the Combined Behavior of Autonomous Resource Management Agents On the Combined Behavior of Autonomous Resource Management Agents Siri Fagernes 1 and Alva L. Couch 2 1 Faculty of Engineering Oslo University College Oslo, Norway siri.fagernes@iu.hio.no 2 Computer Science

More information

P. Belsis, C. Sgouropoulou, K. Sfikas, G. Pantziou, C. Skourlas, J. Varnas

P. Belsis, C. Sgouropoulou, K. Sfikas, G. Pantziou, C. Skourlas, J. Varnas Exploiting Distance Learning Methods and Multimediaenhanced instructional content to support IT Curricula in Greek Technological Educational Institutes P. Belsis, C. Sgouropoulou, K. Sfikas, G. Pantziou,

More information

Learning Methods for Fuzzy Systems

Learning Methods for Fuzzy Systems Learning Methods for Fuzzy Systems Rudolf Kruse and Andreas Nürnberger Department of Computer Science, University of Magdeburg Universitätsplatz, D-396 Magdeburg, Germany Phone : +49.39.67.876, Fax : +49.39.67.8

More information

Computer Science 141: Computing Hardware Course Information Fall 2012

Computer Science 141: Computing Hardware Course Information Fall 2012 Computer Science 141: Computing Hardware Course Information Fall 2012 September 4, 2012 1 Outline The main emphasis of this course is on the basic concepts of digital computing hardware and fundamental

More information

Emergency Management Games and Test Case Utility:

Emergency Management Games and Test Case Utility: IST Project N 027568 IRRIIS Project Rome Workshop, 18-19 October 2006 Emergency Management Games and Test Case Utility: a Synthetic Methodological Socio-Cognitive Perspective Adam Maria Gadomski, ENEA

More information

What is PDE? Research Report. Paul Nichols

What is PDE? Research Report. Paul Nichols What is PDE? Research Report Paul Nichols December 2013 WHAT IS PDE? 1 About Pearson Everything we do at Pearson grows out of a clear mission: to help people make progress in their lives through personalized

More information

Evolutive Neural Net Fuzzy Filtering: Basic Description

Evolutive Neural Net Fuzzy Filtering: Basic Description Journal of Intelligent Learning Systems and Applications, 2010, 2: 12-18 doi:10.4236/jilsa.2010.21002 Published Online February 2010 (http://www.scirp.org/journal/jilsa) Evolutive Neural Net Fuzzy Filtering:

More information

Using Moodle in ESOL Writing Classes

Using Moodle in ESOL Writing Classes The Electronic Journal for English as a Second Language September 2010 Volume 13, Number 2 Title Moodle version 1.9.7 Using Moodle in ESOL Writing Classes Publisher Author Contact Information Type of product

More information

Computer Architecture CSC

Computer Architecture CSC Computer Architecture CSC 343 001 Greg T. Harber Department of Computer Science Nelson Rusche College of Business McGee 303B gth@cs.sfasu.edu 468-1867, 468-2508 Office Hours Monday 10:30-11:30 1:30-2:30

More information

Reducing Features to Improve Bug Prediction

Reducing Features to Improve Bug Prediction Reducing Features to Improve Bug Prediction Shivkumar Shivaji, E. James Whitehead, Jr., Ram Akella University of California Santa Cruz {shiv,ejw,ram}@soe.ucsc.edu Sunghun Kim Hong Kong University of Science

More information

Requirements-Gathering Collaborative Networks in Distributed Software Projects

Requirements-Gathering Collaborative Networks in Distributed Software Projects Requirements-Gathering Collaborative Networks in Distributed Software Projects Paula Laurent and Jane Cleland-Huang Systems and Requirements Engineering Center DePaul University {plaurent, jhuang}@cs.depaul.edu

More information

Activities, Exercises, Assignments Copyright 2009 Cem Kaner 1

Activities, Exercises, Assignments Copyright 2009 Cem Kaner 1 Patterns of activities, iti exercises and assignments Workshop on Teaching Software Testing January 31, 2009 Cem Kaner, J.D., Ph.D. kaner@kaner.com Professor of Software Engineering Florida Institute of

More information

LEGO MINDSTORMS Education EV3 Coding Activities

LEGO MINDSTORMS Education EV3 Coding Activities LEGO MINDSTORMS Education EV3 Coding Activities s t e e h s k r o W t n e d Stu LEGOeducation.com/MINDSTORMS Contents ACTIVITY 1 Performing a Three Point Turn 3-6 ACTIVITY 2 Written Instructions for a

More information

A GENERIC SPLIT PROCESS MODEL FOR ASSET MANAGEMENT DECISION-MAKING

A GENERIC SPLIT PROCESS MODEL FOR ASSET MANAGEMENT DECISION-MAKING A GENERIC SPLIT PROCESS MODEL FOR ASSET MANAGEMENT DECISION-MAKING Yong Sun, a * Colin Fidge b and Lin Ma a a CRC for Integrated Engineering Asset Management, School of Engineering Systems, Queensland

More information

COURSE GUIDE: PRINCIPLES OF MANAGEMENT

COURSE GUIDE: PRINCIPLES OF MANAGEMENT 1 COURSE GUIDE: UNIVERSIDAD CATÓLICA DE VALENCIA SAN VICENTE MÁRTIR PRINCIPLES OF MANAGEMENT Teaching Guide FOUNDATIONS OF BUSINESS MANAGEMENT 2 COURSE GUIDE TO PRINCIPLES OF MANAGEMENT ECTS MODULE: Business

More information

EECS 571 PRINCIPLES OF REAL-TIME COMPUTING Fall 10. Instructor: Kang G. Shin, 4605 CSE, ;

EECS 571 PRINCIPLES OF REAL-TIME COMPUTING Fall 10. Instructor: Kang G. Shin, 4605 CSE, ; EECS 571 PRINCIPLES OF REAL-TIME COMPUTING Fall 10 Instructor: Kang G. Shin, 4605 CSE, 763-0391; kgshin@umich.edu Number of credit hours: 4 Class meeting time and room: Regular classes: MW 10:30am noon

More information

Computing Curricula -- Software Engineering Volume. Second Draft of the Software Engineering Education Knowledge (SEEK) December 6, 2002

Computing Curricula -- Software Engineering Volume. Second Draft of the Software Engineering Education Knowledge (SEEK) December 6, 2002 Computing Curricula -- Software Engineering Volume Second raft of the Software Engineering Education Knowledge (SEEK) ecember 6, 2002 Edited by Ann E.K. Sobel CCSE Knowledge Area Chair Table of Contents

More information

Education: Integrating Parallel and Distributed Computing in Computer Science Curricula

Education: Integrating Parallel and Distributed Computing in Computer Science Curricula IEEE DISTRIBUTED SYSTEMS ONLINE 1541-4922 2006 Published by the IEEE Computer Society Vol. 7, No. 2; February 2006 Education: Integrating Parallel and Distributed Computing in Computer Science Curricula

More information

PROCESS USE CASES: USE CASES IDENTIFICATION

PROCESS USE CASES: USE CASES IDENTIFICATION International Conference on Enterprise Information Systems, ICEIS 2007, Volume EIS June 12-16, 2007, Funchal, Portugal. PROCESS USE CASES: USE CASES IDENTIFICATION Pedro Valente, Paulo N. M. Sampaio Distributed

More information

Abstractions and the Brain

Abstractions and the Brain Abstractions and the Brain Brian D. Josephson Department of Physics, University of Cambridge Cavendish Lab. Madingley Road Cambridge, UK. CB3 OHE bdj10@cam.ac.uk http://www.tcm.phy.cam.ac.uk/~bdj10 ABSTRACT

More information

Using Virtual Manipulatives to Support Teaching and Learning Mathematics

Using Virtual Manipulatives to Support Teaching and Learning Mathematics Using Virtual Manipulatives to Support Teaching and Learning Mathematics Joel Duffin Abstract The National Library of Virtual Manipulatives (NLVM) is a free website containing over 110 interactive online

More information

CS Machine Learning

CS Machine Learning CS 478 - Machine Learning Projects Data Representation Basic testing and evaluation schemes CS 478 Data and Testing 1 Programming Issues l Program in any platform you want l Realize that you will be doing

More information

European Cooperation in the field of Scientific and Technical Research - COST - Brussels, 24 May 2013 COST 024/13

European Cooperation in the field of Scientific and Technical Research - COST - Brussels, 24 May 2013 COST 024/13 European Cooperation in the field of Scientific and Technical Research - COST - Brussels, 24 May 2013 COST 024/13 MEMORANDUM OF UNDERSTANDING Subject : Memorandum of Understanding for the implementation

More information

Axiom 2013 Team Description Paper

Axiom 2013 Team Description Paper Axiom 2013 Team Description Paper Mohammad Ghazanfari, S Omid Shirkhorshidi, Farbod Samsamipour, Hossein Rahmatizadeh Zagheli, Mohammad Mahdavi, Payam Mohajeri, S Abbas Alamolhoda Robotics Scientific Association

More information

COMM370, Social Media Advertising Fall 2017

COMM370, Social Media Advertising Fall 2017 COMM370, Social Media Advertising Fall 2017 Lecture Instructor Office Hours Monday at 4:15 6:45 PM, Room 003 School of Communication Jing Yang, jyang13@luc.edu, 223A School of Communication Friday 2:00-4:00

More information

Specification and Evaluation of Machine Translation Toy Systems - Criteria for laboratory assignments

Specification and Evaluation of Machine Translation Toy Systems - Criteria for laboratory assignments Specification and Evaluation of Machine Translation Toy Systems - Criteria for laboratory assignments Cristina Vertan, Walther v. Hahn University of Hamburg, Natural Language Systems Division Hamburg,

More information

QuickStroke: An Incremental On-line Chinese Handwriting Recognition System

QuickStroke: An Incremental On-line Chinese Handwriting Recognition System QuickStroke: An Incremental On-line Chinese Handwriting Recognition System Nada P. Matić John C. Platt Λ Tony Wang y Synaptics, Inc. 2381 Bering Drive San Jose, CA 95131, USA Abstract This paper presents

More information

Module 12. Machine Learning. Version 2 CSE IIT, Kharagpur

Module 12. Machine Learning. Version 2 CSE IIT, Kharagpur Module 12 Machine Learning 12.1 Instructional Objective The students should understand the concept of learning systems Students should learn about different aspects of a learning system Students should

More information

Utilizing Soft System Methodology to Increase Productivity of Shell Fabrication Sushant Sudheer Takekar 1 Dr. D.N. Raut 2

Utilizing Soft System Methodology to Increase Productivity of Shell Fabrication Sushant Sudheer Takekar 1 Dr. D.N. Raut 2 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 04, 2014 ISSN (online): 2321-0613 Utilizing Soft System Methodology to Increase Productivity of Shell Fabrication Sushant

More information

Software Security: Integrating Secure Software Engineering in Graduate Computer Science Curriculum

Software Security: Integrating Secure Software Engineering in Graduate Computer Science Curriculum Software Security: Integrating Secure Software Engineering in Graduate Computer Science Curriculum Stephen S. Yau, Fellow, IEEE, and Zhaoji Chen Arizona State University, Tempe, AZ 85287-8809 {yau, zhaoji.chen@asu.edu}

More information

ECE-492 SENIOR ADVANCED DESIGN PROJECT

ECE-492 SENIOR ADVANCED DESIGN PROJECT ECE-492 SENIOR ADVANCED DESIGN PROJECT Meeting #3 1 ECE-492 Meeting#3 Q1: Who is not on a team? Q2: Which students/teams still did not select a topic? 2 ENGINEERING DESIGN You have studied a great deal

More information

Oregon Institute of Technology Computer Systems Engineering Technology Department Embedded Systems Engineering Technology Program Assessment

Oregon Institute of Technology Computer Systems Engineering Technology Department Embedded Systems Engineering Technology Program Assessment Oregon Institute of Technology Computer Systems Engineering Technology Department Embedded Systems Engineering Technology Program Assessment 2014-15 I. Introduction The Embedded Systems Engineering Technology

More information

Rover Races Grades: 3-5 Prep Time: ~45 Minutes Lesson Time: ~105 minutes

Rover Races Grades: 3-5 Prep Time: ~45 Minutes Lesson Time: ~105 minutes Rover Races Grades: 3-5 Prep Time: ~45 Minutes Lesson Time: ~105 minutes WHAT STUDENTS DO: Establishing Communication Procedures Following Curiosity on Mars often means roving to places with interesting

More information

Generating Test Cases From Use Cases

Generating Test Cases From Use Cases 1 of 13 1/10/2007 10:41 AM Generating Test Cases From Use Cases by Jim Heumann Requirements Management Evangelist Rational Software pdf (155 K) In many organizations, software testing accounts for 30 to

More information

Document number: 2013/ Programs Committee 6/2014 (July) Agenda Item 42.0 Bachelor of Engineering with Honours in Software Engineering

Document number: 2013/ Programs Committee 6/2014 (July) Agenda Item 42.0 Bachelor of Engineering with Honours in Software Engineering Document number: 2013/0006139 Programs Committee 6/2014 (July) Agenda Item 42.0 Bachelor of Engineering with Honours in Software Engineering Program Learning Outcomes Threshold Learning Outcomes for Engineering

More information

Faculty Schedule Preference Survey Results

Faculty Schedule Preference Survey Results Faculty Schedule Preference Survey Results Surveys were distributed to all 199 faculty mailboxes with information about moving to a 16 week calendar followed by asking their calendar schedule. Objective

More information

The open source development model has unique characteristics that make it in some

The open source development model has unique characteristics that make it in some Is the Development Model Right for Your Organization? A roadmap to open source adoption by Ibrahim Haddad The open source development model has unique characteristics that make it in some instances a superior

More information

Learning to Schedule Straight-Line Code

Learning to Schedule Straight-Line Code Learning to Schedule Straight-Line Code Eliot Moss, Paul Utgoff, John Cavazos Doina Precup, Darko Stefanović Dept. of Comp. Sci., Univ. of Mass. Amherst, MA 01003 Carla Brodley, David Scheeff Sch. of Elec.

More information

Lip reading: Japanese vowel recognition by tracking temporal changes of lip shape

Lip reading: Japanese vowel recognition by tracking temporal changes of lip shape Lip reading: Japanese vowel recognition by tracking temporal changes of lip shape Koshi Odagiri 1, and Yoichi Muraoka 1 1 Graduate School of Fundamental/Computer Science and Engineering, Waseda University,

More information

On Human Computer Interaction, HCI. Dr. Saif al Zahir Electrical and Computer Engineering Department UBC

On Human Computer Interaction, HCI. Dr. Saif al Zahir Electrical and Computer Engineering Department UBC On Human Computer Interaction, HCI Dr. Saif al Zahir Electrical and Computer Engineering Department UBC Human Computer Interaction HCI HCI is the study of people, computer technology, and the ways these

More information

DIDACTIC MODEL BRIDGING A CONCEPT WITH PHENOMENA

DIDACTIC MODEL BRIDGING A CONCEPT WITH PHENOMENA DIDACTIC MODEL BRIDGING A CONCEPT WITH PHENOMENA Beba Shternberg, Center for Educational Technology, Israel Michal Yerushalmy University of Haifa, Israel The article focuses on a specific method of constructing

More information

Numeracy Medium term plan: Summer Term Level 2C/2B Year 2 Level 2A/3C

Numeracy Medium term plan: Summer Term Level 2C/2B Year 2 Level 2A/3C Numeracy Medium term plan: Summer Term Level 2C/2B Year 2 Level 2A/3C Using and applying mathematics objectives (Problem solving, Communicating and Reasoning) Select the maths to use in some classroom

More information

CPMT 1347 Computer System Peripherals COURSE SYLLABUS

CPMT 1347 Computer System Peripherals COURSE SYLLABUS CPMT 1347 Computer System Peripherals COURSE SYLLABUS COURSE NUMBER AND TITLE: CPMT 1347Computer System Peripherals COURSE (CATALOG) DESCRIPTION: Theory and practices involved in computer peripherals,

More information

Ministry of Education, Republic of Palau Executive Summary

Ministry of Education, Republic of Palau Executive Summary Ministry of Education, Republic of Palau Executive Summary Student Consultant, Jasmine Han Community Partner, Edwel Ongrung I. Background Information The Ministry of Education is one of the eight ministries

More information

Phys4051: Methods of Experimental Physics I

Phys4051: Methods of Experimental Physics I Phys4051: Methods of Experimental Physics I 5 credits This course is the first of a two-semester sequence on the techniques used in a modern experimental physics laboratory. Because of the importance of

More information

Measurement & Analysis in the Real World

Measurement & Analysis in the Real World Measurement & Analysis in the Real World Tools for Cleaning Messy Data Will Hayes SEI Robert Stoddard SEI Rhonda Brown SEI Software Solutions Conference 2015 November 16 18, 2015 Copyright 2015 Carnegie

More information

SARDNET: A Self-Organizing Feature Map for Sequences

SARDNET: A Self-Organizing Feature Map for Sequences SARDNET: A Self-Organizing Feature Map for Sequences Daniel L. James and Risto Miikkulainen Department of Computer Sciences The University of Texas at Austin Austin, TX 78712 dljames,risto~cs.utexas.edu

More information

Word Segmentation of Off-line Handwritten Documents

Word Segmentation of Off-line Handwritten Documents Word Segmentation of Off-line Handwritten Documents Chen Huang and Sargur N. Srihari {chuang5, srihari}@cedar.buffalo.edu Center of Excellence for Document Analysis and Recognition (CEDAR), Department

More information

Towards a Collaboration Framework for Selection of ICT Tools

Towards a Collaboration Framework for Selection of ICT Tools Towards a Collaboration Framework for Selection of ICT Tools Deepak Sahni, Jan Van den Bergh, and Karin Coninx Hasselt University - transnationale Universiteit Limburg Expertise Centre for Digital Media

More information

Using Deep Convolutional Neural Networks in Monte Carlo Tree Search

Using Deep Convolutional Neural Networks in Monte Carlo Tree Search Using Deep Convolutional Neural Networks in Monte Carlo Tree Search Tobias Graf (B) and Marco Platzner University of Paderborn, Paderborn, Germany tobiasg@mail.upb.de, platzner@upb.de Abstract. Deep Convolutional

More information

Arizona s College and Career Ready Standards Mathematics

Arizona s College and Career Ready Standards Mathematics Arizona s College and Career Ready Mathematics Mathematical Practices Explanations and Examples First Grade ARIZONA DEPARTMENT OF EDUCATION HIGH ACADEMIC STANDARDS FOR STUDENTS State Board Approved June

More information

Automating the E-learning Personalization

Automating the E-learning Personalization Automating the E-learning Personalization Fathi Essalmi 1, Leila Jemni Ben Ayed 1, Mohamed Jemni 1, Kinshuk 2, and Sabine Graf 2 1 The Research Laboratory of Technologies of Information and Communication

More information

CREATING SHARABLE LEARNING OBJECTS FROM EXISTING DIGITAL COURSE CONTENT

CREATING SHARABLE LEARNING OBJECTS FROM EXISTING DIGITAL COURSE CONTENT CREATING SHARABLE LEARNING OBJECTS FROM EXISTING DIGITAL COURSE CONTENT Rajendra G. Singh Margaret Bernard Ross Gardler rajsingh@tstt.net.tt mbernard@fsa.uwi.tt rgardler@saafe.org Department of Mathematics

More information

Quantitative Evaluation of an Intuitive Teaching Method for Industrial Robot Using a Force / Moment Direction Sensor

Quantitative Evaluation of an Intuitive Teaching Method for Industrial Robot Using a Force / Moment Direction Sensor International Journal of Control, Automation, and Systems Vol. 1, No. 3, September 2003 395 Quantitative Evaluation of an Intuitive Teaching Method for Industrial Robot Using a Force / Moment Direction

More information

Xinyu Tang. Education. Research Interests. Honors and Awards. Professional Experience

Xinyu Tang. Education. Research Interests. Honors and Awards. Professional Experience Xinyu Tang Parasol Laboratory Department of Computer Science Texas A&M University, TAMU 3112 College Station, TX 77843-3112 phone:(979)847-8835 fax: (979)458-0425 email: xinyut@tamu.edu url: http://parasol.tamu.edu/people/xinyut

More information

Practical Integrated Learning for Machine Element Design

Practical Integrated Learning for Machine Element Design Practical Integrated Learning for Machine Element Design Manop Tantrabandit * Abstract----There are many possible methods to implement the practical-approach-based integrated learning, in which all participants,

More information

First Grade Standards

First Grade Standards These are the standards for what is taught throughout the year in First Grade. It is the expectation that these skills will be reinforced after they have been taught. Mathematical Practice Standards Taught

More information

ECO 2013: PRINCIPLES OF MACROECONOMICS Spring 2017

ECO 2013: PRINCIPLES OF MACROECONOMICS Spring 2017 Lutgert College of Business, Department of Economics and Finance CRN 11091 (3 Credit Hours) T/ R 1:30 2:45 PM Lutgert Hall 1202 Instructor: Mrs. Carol Sweeney, MS Dev. Studies Lutgert Hall 3354 Office

More information

Moderator: Gary Weckman Ohio University USA

Moderator: Gary Weckman Ohio University USA Moderator: Gary Weckman Ohio University USA Robustness in Real-time Complex Systems What is complexity? Interactions? Defy understanding? What is robustness? Predictable performance? Ability to absorb

More information

An Estimating Method for IT Project Expected Duration Oriented to GERT

An Estimating Method for IT Project Expected Duration Oriented to GERT An Estimating Method for IT Project Expected Duration Oriented to GERT Li Yu and Meiyun Zuo School of Information, Renmin University of China, Beijing 100872, P.R. China buaayuli@mc.e(iuxn zuomeiyun@263.nct

More information

Evaluation of Usage Patterns for Web-based Educational Systems using Web Mining

Evaluation of Usage Patterns for Web-based Educational Systems using Web Mining Evaluation of Usage Patterns for Web-based Educational Systems using Web Mining Dave Donnellan, School of Computer Applications Dublin City University Dublin 9 Ireland daviddonnellan@eircom.net Claus Pahl

More information

Evaluation of Usage Patterns for Web-based Educational Systems using Web Mining

Evaluation of Usage Patterns for Web-based Educational Systems using Web Mining Evaluation of Usage Patterns for Web-based Educational Systems using Web Mining Dave Donnellan, School of Computer Applications Dublin City University Dublin 9 Ireland daviddonnellan@eircom.net Claus Pahl

More information

A Context-Driven Use Case Creation Process for Specifying Automotive Driver Assistance Systems

A Context-Driven Use Case Creation Process for Specifying Automotive Driver Assistance Systems A Context-Driven Use Case Creation Process for Specifying Automotive Driver Assistance Systems Hannes Omasreiter, Eduard Metzker DaimlerChrysler AG Research Information and Communication Postfach 23 60

More information

How to make successful presentations in English Part 2

How to make successful presentations in English Part 2 Young Researchers Seminar 2013 Young Researchers Seminar 2011 Lyon, France, June 5-7, 2013 DTU, Denmark, June 8-10, 2011 How to make successful presentations in English Part 2 Witold Olpiński PRESENTATION

More information

SCHOOL WITHOUT CLASSROOMS BERLIN ARCHITECTURE COMPETITION TO

SCHOOL WITHOUT CLASSROOMS BERLIN ARCHITECTURE COMPETITION TO SCHOOL WITHOUT CLASSROOMS BERLIN ARCHITECTURE COMPETITION 01.04.2017 TO 30.06.2017 www.archasm.in MISSION STATEMENT What if we lived in an age where school and learning was not systemized but optimized?

More information

CONCEPT MAPS AS A DEVICE FOR LEARNING DATABASE CONCEPTS

CONCEPT MAPS AS A DEVICE FOR LEARNING DATABASE CONCEPTS CONCEPT MAPS AS A DEVICE FOR LEARNING DATABASE CONCEPTS Pirjo Moen Department of Computer Science P.O. Box 68 FI-00014 University of Helsinki pirjo.moen@cs.helsinki.fi http://www.cs.helsinki.fi/pirjo.moen

More information

Radius STEM Readiness TM

Radius STEM Readiness TM Curriculum Guide Radius STEM Readiness TM While today s teens are surrounded by technology, we face a stark and imminent shortage of graduates pursuing careers in Science, Technology, Engineering, and

More information

Teaching a Laboratory Section

Teaching a Laboratory Section Chapter 3 Teaching a Laboratory Section Page I. Cooperative Problem Solving Labs in Operation 57 II. Grading the Labs 75 III. Overview of Teaching a Lab Session 79 IV. Outline for Teaching a Lab Session

More information

Data Fusion Models in WSNs: Comparison and Analysis

Data Fusion Models in WSNs: Comparison and Analysis Proceedings of 2014 Zone 1 Conference of the American Society for Engineering Education (ASEE Zone 1) Data Fusion s in WSNs: Comparison and Analysis Marwah M Almasri, and Khaled M Elleithy, Senior Member,

More information

Agent-Based Software Engineering

Agent-Based Software Engineering Agent-Based Software Engineering Learning Guide Information for Students 1. Description Grade Module Máster Universitario en Ingeniería de Software - European Master on Software Engineering Advanced Software

More information

What is a Mental Model?

What is a Mental Model? Mental Models for Program Understanding Dr. Jonathan I. Maletic Computer Science Department Kent State University What is a Mental Model? Internal (mental) representation of a real system s behavior,

More information

Improving Fairness in Memory Scheduling

Improving Fairness in Memory Scheduling Improving Fairness in Memory Scheduling Using a Team of Learning Automata Aditya Kajwe and Madhu Mutyam Department of Computer Science & Engineering, Indian Institute of Tehcnology - Madras June 14, 2014

More information

An Empirical Analysis of the Effects of Mexican American Studies Participation on Student Achievement within Tucson Unified School District

An Empirical Analysis of the Effects of Mexican American Studies Participation on Student Achievement within Tucson Unified School District An Empirical Analysis of the Effects of Mexican American Studies Participation on Student Achievement within Tucson Unified School District Report Submitted June 20, 2012, to Willis D. Hawley, Ph.D., Special

More information

A study of speaker adaptation for DNN-based speech synthesis

A study of speaker adaptation for DNN-based speech synthesis A study of speaker adaptation for DNN-based speech synthesis Zhizheng Wu, Pawel Swietojanski, Christophe Veaux, Steve Renals, Simon King The Centre for Speech Technology Research (CSTR) University of Edinburgh,

More information

Test Effort Estimation Using Neural Network

Test Effort Estimation Using Neural Network J. Software Engineering & Applications, 2010, 3: 331-340 doi:10.4236/jsea.2010.34038 Published Online April 2010 (http://www.scirp.org/journal/jsea) 331 Chintala Abhishek*, Veginati Pavan Kumar, Harish

More information

Major Milestones, Team Activities, and Individual Deliverables

Major Milestones, Team Activities, and Individual Deliverables Major Milestones, Team Activities, and Individual Deliverables Milestone #1: Team Semester Proposal Your team should write a proposal that describes project objectives, existing relevant technology, engineering

More information

Integrating Blended Learning into the Classroom

Integrating Blended Learning into the Classroom Integrating Blended Learning into the Classroom FAS Office of Educational Technology November 20, 2014 Workshop Outline Blended Learning - what is it? Benefits Models Support Case Studies @ FAS featuring

More information

CSC200: Lecture 4. Allan Borodin

CSC200: Lecture 4. Allan Borodin CSC200: Lecture 4 Allan Borodin 1 / 22 Announcements My apologies for the tutorial room mixup on Wednesday. The room SS 1088 is only reserved for Fridays and I forgot that. My office hours: Tuesdays 2-4

More information

THE CONSENSUS PROCESS

THE CONSENSUS PROCESS THE CONSENSUS PROCESS OR CREATIVE JOINT PROBLEM SOLVING Consensus: Collective opinion or agreement, harmony, cooperation, sympathy and group solidarity. Advantages of Using a Consensus Process 1. Education

More information

An Investigation into Team-Based Planning

An Investigation into Team-Based Planning An Investigation into Team-Based Planning Dionysis Kalofonos and Timothy J. Norman Computing Science Department University of Aberdeen {dkalofon,tnorman}@csd.abdn.ac.uk Abstract Models of plan formation

More information