ENG2410 Digital Design

Similar documents
Computer Science 141: Computing Hardware Course Information Fall 2012

Designing a Computer to Play Nim: A Mini-Capstone Project in Digital Design I

ENEE 302h: Digital Electronics, Fall 2005 Prof. Bruce Jacob

Phys4051: Methods of Experimental Physics I

CS 1103 Computer Science I Honors. Fall Instructor Muller. Syllabus

University of Waterloo Department of Economics Economics 102 (Section 006) Introduction to Macroeconomics Winter 2012

Syllabus - ESET 369 Embedded Systems Software, Fall 2016

Computer Organization I (Tietokoneen toiminta)

BUS Computer Concepts and Applications for Business Fall 2012

Spring 2015 Natural Science I: Quarks to Cosmos CORE-UA 209. SYLLABUS and COURSE INFORMATION.

CIS Introduction to Digital Forensics 12:30pm--1:50pm, Tuesday/Thursday, SERC 206, Fall 2015

EECS 571 PRINCIPLES OF REAL-TIME COMPUTING Fall 10. Instructor: Kang G. Shin, 4605 CSE, ;

Stochastic Calculus for Finance I (46-944) Spring 2008 Syllabus

Physics Experimental Physics II: Electricity and Magnetism Prof. Eno Spring 2017

ACCT 100 Introduction to Accounting Course Syllabus Course # on T Th 12:30 1:45 Spring, 2016: Debra L. Schmidt-Johnson, CPA

Penn State University - University Park MATH 140 Instructor Syllabus, Calculus with Analytic Geometry I Fall 2010

KOMAR UNIVERSITY OF SCIENCE AND TECHNOLOGY (KUST)

EEAS 101 BASIC WIRING AND CIRCUIT DESIGN. Electrical Principles and Practices Text 3 nd Edition, Glen Mazur & Peter Zurlis

PSYCHOLOGY 353: SOCIAL AND PERSONALITY DEVELOPMENT IN CHILDREN SPRING 2006

CS 3516: Computer Networks

MTH 215: Introduction to Linear Algebra

Course Specifications

Visualizing Architecture

Process to Identify Minimum Passing Criteria and Objective Evidence in Support of ABET EC2000 Criteria Fulfillment

CS 101 Computer Science I Fall Instructor Muller. Syllabus

Course Syllabus for Math

COSI Meet the Majors Fall 17. Prof. Mitch Cherniack Undergraduate Advising Head (UAH), COSI Fall '17: Instructor COSI 29a

EECS 700: Computer Modeling, Simulation, and Visualization Fall 2014

Computer Science. Embedded systems today. Microcontroller MCR

CS 100: Principles of Computing

Infrared Paper Dryer Control Scheme

Function Tables With The Magic Function Machine

Syllabus: CS 377 Communication and Ethical Issues in Computing 3 Credit Hours Prerequisite: CS 251, Data Structures Fall 2015

Computer Architecture CSC

Circuit Simulators: A Revolutionary E-Learning Platform

State University of New York at Buffalo INTRODUCTION TO STATISTICS PSC 408 Fall 2015 M,W,F 1-1:50 NSC 210

ECO 2013-Principles of Macroeconomics

Social Media Marketing BUS COURSE OUTLINE

Data Structures and Algorithms

Introduction to World Philosophy Syllabus Fall 2013 PHIL 2010 CRN: 89658

Course Description. Student Learning Outcomes

Accounting 312: Fundamentals of Managerial Accounting Syllabus Spring Brown

Office Hours: Day Time Location TR 12:00pm - 2:00pm Main Campus Carl DeSantis Building 5136

Biology 1 General Biology, Lecture Sections: 47231, and Fall 2017

AGN 331 Soil Science. Lecture & Laboratory. Face to Face Version, Spring, Syllabus

ASTRONOMY 2801A: Stars, Galaxies & Cosmology : Fall term

*In Ancient Greek: *In English: micro = small macro = large economia = management of the household or family

AGN 331 Soil Science Lecture & Laboratory Face to Face Version, Spring, 2012 Syllabus

FINN FINANCIAL MANAGEMENT Spring 2014

Form no. (12) Course Specification

Embedded System Design

Teaching Team Professor Dr. Lorraine Jadeski OVC 2617, Extension Office Hours: by appointment

Multisensor Data Fusion: From Algorithms And Architectural Design To Applications (Devices, Circuits, And Systems)

THE UNIVERSITY OF SYDNEY Semester 2, Information Sheet for MATH2068/2988 Number Theory and Cryptography

Graduate Calendar. Graduate Calendar. Fall Semester 2015

Course outline. Code: ICT310 Title: Systems Analysis and Design

ANT 3520 (Online) Skeleton Keys: Introduction to Forensic Anthropology Spring 2015

Computer Science 1015F ~ 2016 ~ Notes to Students

Technological Educational Institute (TEI) of Crete School of Applied Sciences

SAT & ACT PREP. Evening classes at GBS - open to all Juniors!

ECON492 Senior Capstone Seminar: Cost-Benefit and Local Economic Policy Analysis Fall 2017 Instructor: Dr. Anita Alves Pena

GEOG 473/573: Intermediate Geographic Information Systems Department of Geography Minnesota State University, Mankato

Coding II: Server side web development, databases and analytics ACAD 276 (4 Units)

University of Texas Libraries. Welcome!

Physics XL 6B Reg# # Units: 5. Office Hour: Tuesday 5 pm to 7:30 pm; Wednesday 5 pm to 6:15 pm

Neuroscience I. BIOS/PHIL/PSCH 484 MWF 1:00-1:50 Lecture Center F6. Fall credit hours

PSYC 2700H-B: INTRODUCTION TO SOCIAL PSYCHOLOGY

The Heart of Philosophy, Jacob Needleman, ISBN#: LTCC Bookstore:

General Physics I Class Syllabus

Winter School, February 1 to 5, 2016 Schedule. Ronald Schlegel, December 10, 2015

Introduction to Forensic Anthropology ASM 275, Section 1737, Glendale Community College, Fall 2008


Required Materials: The Elements of Design, Third Edition; Poppy Evans & Mark A. Thomas; ISBN GB+ flash/jump drive

Course outline. Code: PHY202 Title: Electronics and Electromagnetism

Spring 2016 Stony Brook University Instructor: Dr. Paul Fodor

ENME 605 Advanced Control Systems, Fall 2015 Department of Mechanical Engineering

METHODS OF INSTRUCTION IN THE MATHEMATICS CURRICULUM FOR MIDDLE SCHOOL Math 410, Fall 2005 DuSable Hall 306 (Mathematics Education Laboratory)

Syllabus Foundations of Finance Summer 2014 FINC-UB

Human Development: Life Span Spring 2017 Syllabus Psych 220 (Section 002) M/W 4:00-6:30PM, 120 MARB

Scottsdale Community College Spring 2016 CIS190 Intro to LANs CIS105 or permission of Instructor

The Policymaking Process Course Syllabus

University of Southern California EE658 Diagnosis and Design of Reliable Digital Computers Summer 2011

Faculty of Health and Behavioural Sciences School of Health Sciences Subject Outline SHS222 Foundations of Biomechanics - AUTUMN 2013

General Chemistry II, CHEM Blinn College Bryan Campus Course Syllabus Fall 2011

Instructor. Darlene Diaz. Office SCC-SC-124. Phone (714) Course Information

POLSC& 203 International Relations Spring 2012

INTERMEDIATE ALGEBRA Course Syllabus

Department of Anthropology ANTH 1027A/001: Introduction to Linguistics Dr. Olga Kharytonava Course Outline Fall 2017

CRITICAL THINKING AND WRITING: ENG 200H-D01 - Spring 2017 TR 10:45-12:15 p.m., HH 205

CHMB16H3 TECHNIQUES IN ANALYTICAL CHEMISTRY

Academic Catalog Programs & Courses Manchester Community College

Foothill College Fall 2014 Math My Way Math 230/235 MTWThF 10:00-11:50 (click on Math My Way tab) Math My Way Instructors:

Business Finance 3400 Introduction to Real Estate Autumn Semester, 2017

GAT General (Analytical Reasoning Section) NOTE: This is GAT-C where: English-40%, Analytical Reasoning-30%, Quantitative-30% GAT

Individual Instruction Voice (MPVA 300, 301, 501) COURSE INFORMATION Course Description Learning Objectives: Course Information

Math 181, Calculus I

Grading Policy/Evaluation: The grades will be counted in the following way: Quizzes 30% Tests 40% Final Exam: 30%

THE GEORGE WASHINGTON UNIVERSITY Department of Economics. ECON 1012: PRINCIPLES OF MACROECONOMICS Prof. Irene R. Foster

SYLLABUS. EC 322 Intermediate Macroeconomics Fall 2012

COURSE BAPA 550 (816): Foundations of Managerial Economics Course Outline

Transcription:

ENG2410 Digital Design General Information Handout Fall 2017, September 7 th

Shawki Areibi MASc, PhD, P.Eng U. Waterloo 91/95 2 Office, Email, Phone Office: Thorn 2335, EXT 53819 Email: sareibi@uoguelph.ca Web: http://www.uoguelph.ca/~sareibi Office Hours: Wednesdays: 12:00 13:00 Research Interests Computer Architecture VLSI Physical Design Automation (CAD Tools) Reconfigurable Computing Systems Embedded Systems

Outline Info about Staff (TAs, LabTech) Lecture and Lab Schedule. Course Text and References. Course contents, Tentative Schedule. Assignments, Labs, Exams. Evaluation Important Information 3

Lab Instructor Phil Watson (No official Lab Instructor appointed yet!) Email: pwatson@uoguelph.ca Thornbrough Building Room 1140, ext 53870 4

Teaching Assistants TA #1: Shravani Prasad, M.ENG. Office: Thorn 2319 TA #2: Ziad Abuowaimer, PhD. Office: Thorn 2319 TA#3: Abeer Alhyari, PhD. Office: Thorn 2319 5

Lecture & Lab Schedule o o o Lectures 1. 13:00 14:20, THRN 1307 (Tuesdays, Thursdays) LABS 1. L01, Mondays: 14:30-16:20 PM (RICH 1351) 2. L02, Fridays: 8:30 10:20 AM (RICH 1351) Tutorials 1. T01, (MCKN 228), 8:30 -- 9:20, WED 2. T02, (MCKN 227), 14:30-15:20, WED 6

Text Book and References Text Book: Logic and Computer Design Fundamentals, Custom Edition, 2013, Mano. References 1. VHDL for Engineers by Short, 2008. 2. VHDL Tutorial by S. Areibi on the web. 3. Tutorials on Using Xilinx Foundation Tools. 4. Fundamentals of Digital Logic with VHDL Design by Brown and Vranesic 7

Resources & Communication Courselink http://www.uoguelph.ca/~sareibi Communications 1. E-mail, listserv 2. Eng2410 Web Page (Announcement) 8

Course Objectives o o This is a basic course in most electrical and computer engineering programs. Achieves the following goals: 1. Teaches you the fundamental concepts in digital design (combinational logic, sequential logic). 2. Teaches you concepts of designing arithmetic circuits (data path) and algorithmic state machines (control). 3. Teaches you how digital circuits are designed today using advanced CAD tools and HDLs. 9

Acquiring Skills Essential Foundation Combinational & Sequential Logic Design Data Path & Control Number Systems, Basic Gates Such as And, Or, Not, Minimizing logic, Boolean Algebra Design of Adders State machines, memory Registers, Counters Design of Arithmetic Logic Units, Busses, Algorithmic State Machines Hardware Descriptive Languages VHDL 10

Relationship to Other Courses ENG338 Computer Organization ENG364 Micro Comp Interfacing ENG354 Electrical Devices After learning the basic Elements of data path and Control, you will design a Complete CPU You will learn how to attach Several modules to an MCU Such as memory, LCDs, LEDs 7-Seg, Keyboards Helps understand issues About Transistors, ICs, Operational Amplifiers 11 Will help you with these Courses since you might Use skills acquired in developing A digital system. ENG4550 ENG4550 ENG4420

Tentative Schedule 1. Week #1, Introduction to Digital Design 2. Week #2, #3, #4, #5, Comb Logic Circuits & Design 3. Week #6, #7, Sequential Logic Design 4. Week #8, Registers and Counters 5. Week #9, RTL & Data Path 6. Week #10, Control and Algorithmic State Machines 7. Week #11, Memory 8. Week #12, Programmable Logic Devices 12

Assignments 1. Assignment#1, (Week#1) Number Systems 2. Assignment#2, (Week#2) Boolean Algebra 3. Assignment#3, (Week#3) K-Map Simplification 4. Assignment#4, (Week#4) Combinational Logic 5. Assignment#5, (Week#5) Arithmetic Circuits 6. Assignment#6, (Week#6) Sequential Circuits 7. Assignment#7, (Week#7) Counters, registers 8. Assignment#8, (Week#8) Data Path 9. Assignment#9, ( Week#9) Algorithmic State Machines 10. Assignment#10, ( Week#10) Memory & Programmable 13

Labs: Reports, Preparation.. 1. Lab#0, Week#1, Intro -> Equipment and CAD Tools 2. Lab#1, Week#2, Combinational Logic & TTL. 3. Lab#2, Week#3, ISE Schematic Capture Tutorial 4. Lab#3, Week#4, ISE VHDL Design Entry Tutorial 5. Lab#4, Week#5 Combinational Logic Design 6. NO LABS SCHEDULED FOR WEEK #5 7. Lab#5, Week#7, Design with VHDL 8. Lab#6, Week#8, Sequential Design (Flip Flops) 9. Lab#7, Week#9, Sequential Design (Seq Rec) 10. Lab#8, Week#10, Data Path Design 11. Lab#9, Week#11, Algorithmic State Machines 14

LABS Labs are an integral part of the course. The objectives of the labs are: 1. Understand and assimilate lecture material 2. Give practical experience using small scale integrated circuits and FPGAs 3. Teach you Hardware Descriptive Language 4. To give you hands on experience with CAD tools for digital hardware development. 15

Exam Schedule 1. Midterm Week#7, Saturday October 28 th, 12:30 PM 2:00 PM Location: Thornbrough Building 1307 2. Final Exam Week#13, December 7th th, 11:30 AM, in (TBA) 16

Evaluation Topic Weight Details Assignments 5% 10 Assignments Labs 20% 9 Labs Midterm 25% Week 7 Final Exam 50% Week 13 17

Important Issues o It is important to remember that the midterm and final exam will be based on the assignment problems, so it is in your best interest to seriously attempt all questions alone. o No Makeup exam for Midterm. If you have a doctor note (final exam out of 75%) o In order to pass the course, you must pass both the lab and exam course portion. Students must obtain a grade of 50% or higher on the exam portion of the course. o If a laboratory is missed due to illness or other reason, arrangements must be made with the teaching assistant to complete a make-up lab. 18

Academic Misconduct o The policy for this course is zero tolerance for any form of plagiarism and academic misconduct. o All cases will be dealt by the Dean of the College. o Please refer to the regulation outlined in the student handbook and course outline regarding academic misconduct. 19

Advice Attend all Lectures! Attend all Tutorials! Attempt all assignments Make use of your Teaching Assistants Prepare for the Labs prior to lab session Don t leave things to the last minute! Manage your time!!!!!!!!!! 20

21